400-801-6772
86-0755-82557010
Altera阿尔特拉代理商_英特尔MAX 10 FPGA

深圳宇航军工半导体有限公司 / 2019-09-23 17:56:11

Altera阿尔特拉代理商_英特尔MAX 10 FPGA


Altera阿尔特拉代理商_英特尔MAX 10 FPGA.jpg

Altera的低成本MAX 10 FPGA,一小型的导电类型的可编程逻辑器件内的容易得到的先进的加工性能,彻底改变了非易失性集成。客户获取早期的Quartus II(BETA)软件和文档,你可以编译和运行时序分析,从而加快产品推向市场。基于FPGA的MAX 10 TSMC的55nm嵌入式闪存技术,即时启动支撑构造,使用户能够快速地控制上初始化或系统内的其它元件的功率。该装置还包括一个丰富的FPGA的功能,例如数字信号处理,模拟功能,Nios II嵌入式处理器和存储器控制器支持。

Altera阿尔特拉代理商_英特尔MAX 10 FPGA特性

技术:

TSMC的55nm嵌入式闪存(闪存+ SRAM)技术

包:

低成本,小尺寸封装

多个与所述器件封装足迹密度兼容器件中的不同密度之间的无缝移动性

RoHS6兼容

核心架构:

4输入查找表(LUT)和单个寄存器逻辑元件(LE)

逻辑阵列块(实验室)

嵌入式用户闪存和RAM

时钟和PLL

嵌入式乘法器块

通用I / O

内存块:

M9K  -  9 KB存储器块

用于创建一个RAM,双端口和FIFO功能级联块

用户闪存:

用户可以访问非易失性存储器

高速频率

大内存大小

高数据保留

选择多个接口

嵌入式硬核IP嵌入式乘法器块:

载体或18×18乘法器模式下的两个9×9

支持创建过滤器,级联的计算功能模块和图像处理流水线

ADC:

12位逐次逼近寄存器(SAR)类型

多达17个模拟输入

高达每秒百万样本的累积率(MSPS)

内置温度感应功能

闪存IP:

支持图像技术的双重配置

时钟网络:

支持全局时钟

高速时钟网络

锁相环:

基于模拟

低抖动

精密时钟合成

时钟延迟补偿

零延迟缓冲器

多个输出抽头

通用I / O端口(GPIO):

它支持多种I / O标准

片上端接(10月)

(Mbps)的LVDS接收器/发射高达每秒720兆比特

外部存储器接口:

它支持高达600Mbps的外部存储器接口

DDR3,DDR3L,DDR2,LPDDR2(在10M16,10M25,10M40和10M50)。

SRAM(仅支持硬件)

组态:

内部配置

JTAG

高级加密标准(AES)128位加密和压缩选项

20年闪存数据保留

灵活的供电方案:

单,双电源选择器

动态功率控制输入缓冲器关闭

睡眠模式,动态断电

应用

工业,汽车:

驱动,光伏逆变器,电机控制,传感器I / F

I / O模块,监控,机器视觉

驾驶员辅助系统(ADAS),电动汽车(ECU),信息娱乐

有线/无线通信:

I / O扩展和胶

底盘控制系统

消费者:

显示

便携式数码相机,游戏

计算机,存储:

底盘控制服务器板

多功能打印机

广播:

I / O扩展和胶合逻辑

EOIR,制导与控制

服务热线

400-801-6772

企业微信销售咨询